Biblioteke napisane u VHDLu

spi-fpga

SPI master i SPI slave za FPGA napisan u VHDL-u.
  • 132
  • MIT

ethernet_mac

Tri-mode (10/100/1000) full-duplex FPGA ethernet MAC u VHDL-u.
  • 126
  • GNU General Public License v3.0

w11

PDP-11/70 CPU jezgra i SoC.
  • 111
  • GNU General Public License v3.0 only

Rudi-RV32I

Rudimentalni RISCV CPU koji podržava RV32I upute, u VHDL-u.
  • 98
  • MIT

sdram-fpga

FPGA jezgra za jednostavan SDRAM kontroler..
  • 96
  • MIT

deniser

Zamjena čipa Amiga Denise.
  • 82

dvb_fpga

RTL implementacija komponenti za DVB-S2.
  • 79
  • GNU General Public License v3.0

fpga_puf

:key: Hardverski modul Physical Unclonable Function (PUF) za bilo koji FPGA..
  • 79
  • BSD 3-clause "New" or "Revised"

PipelineC-Graphics

Grafičke demonstracije.
  • 77

AXI4

AXI4 Full, Lite i AxiStream komponente provjere. Komponente provjere sučelja AXI4 Master, Responder i Memory. Komponente za provjeru odašiljača i prijamnika AxiStream.
  • 77
  • GNU General Public License v3.0

neoTRNG

🎲 Maleni generator pravih slučajnih brojeva neovisan o platformi za bilo koji FPGA..
  • 75
  • BSD 3-clause "New" or "Revised"

fpga-fft

Visoko optimizirana FFT jezgra za strujanje temeljena na Baileyevom velikom FFT algoritmu u 4 koraka.
  • 71
  • GNU General Public License v3.0

uart-for-fpga

Jednostavan UART kontroler za FPGA napisan u VHDL-u.
  • 69
  • MIT

CoPro6502

FPGA implementacije BBC Micro Co procesora (65C02, Z80, 6809, 68000, x86, ARM2, PDP-11, 32016).
  • 68
  • GNU General Public License v3.0 only

R3DUX

  • 58
  • GNU General Public License v3.0 only

mc1

Računalo (FPGA SoC) temeljeno na MRISC32-A1 CPU-u.
  • 48
  • zlib

NN_RGB_FPGA

FPGA dizajn neuronske mreže za detekciju boja.
  • 44
  • MIT

catapult-v3-smartnic-re

Dokumentiranje Catapult v3 SmartNIC FPGA ploča (Dragontails Peak & Longs Peak).
  • 40

neoapple2

Prijenos Apple2fpga Stephena A. Edwardsa na PYNQ-Z1 (Xilinx Zynq FPGA), za emulaciju Apple II+..
  • 40

Apple-II_MiSTer

Apple II+ za MiSTer.
  • 40

neorv32-setups

📁 NEORV32 projekti i primjerne postavke za razne FPGA, ploče i (open-source) alatne lance..
  • 37
  • BSD 3-clause "New" or "Revised"

fpu

IEEE 754 biblioteka s pomičnim zarezom u sustavu-verilog i vhdl (autor taneroksuz).
  • 34
  • Apache License 2.0

vhdl-tutorial

  • 34
  • GNU General Public License v3.0 only

ZPUFlex

Visoko konfigurabilna i kompaktna varijanta ZPU procesorske jezgre.
  • 32

bonfire-cpu

FPGA optimizirana RISC-V (RV32IM) implementacija.
  • 31
  • GNU General Public License v3.0

C128_MiSTer

[Premješteno na: https://github.com/MiSTer-devel/C128_MiSTer] (autor eriks5).
  • 30

a2i

Jezgra A2I korištena je kao procesor opće namjene za BlueGene/Q, nasljednika superračunala BlueGene/L i BlueGene/P (od OpenPOWERFoundation).
  • 25
  • GNU General Public License v3.0

FPGA-Vision

Naučite o obradi slike pomoću FPGA. Video predavanja objašnjavaju algoritam i implementaciju detekcije trake za automobilsku vožnju. Pravi hardver dostupan je kao udaljeni laboratorij.
  • 25
  • GNU General Public License v3.0

fpga_torture

🔥 Tehnološki-agnostički FPGA stres test: maksimalna iskorištenost logike i visoka dinamička potrošnja energije..
  • 25
  • BSD 3-clause "New" or "Revised"

Compliance-Tests

Testovi za procjenu podrške značajki VHDL 2008 i VHDL 2019.
  • 24
  • Apache License 2.0